Back to Results
First PageMeta Content
Logic synthesis / Physical design / High-level synthesis / Register-transfer level / Placement / Quality of results / Multi-core processor / Synopsys / Retiming / Electronic engineering / Electronic design automation / Electronics


Datasheet DC Ultra Concurrent Timing, Area, Power and Test Optimization Overview
Add to Reading List

Document Date: 2015-03-19 18:15:41


Open Document

File Size: 558,94 KB

Share Result on Facebook

Company

RTL / IBM / Synopsys Inc. / Sun Solaris (32-/64-bit) Synopsys Inc. / /

Country

United States / /

IndustryTerm

e-f / optimization technologies / topographical technology / place-and-route solution / datapath optimization algorithms / technology shares technology / synthesis solution / /

MusicAlbum

Area / Power / /

OperatingSystem

Sun Solaris / IBM AIX / Linux / /

Person

Cin Cin / /

/

Position

sales representative / designer / /

ProgrammingLanguage

TCL / DC / Verilog / /

Technology

synthesis Area Reduction Technologies / synthesis use model DC Ultra Topographical technology / datapath optimization algorithms / Verilog / optimization technologies / synthesis solution Topographical Technology Topographical technology / Topographical technology shares technology / VHDL / Linux / /

URL

www.synopsys.com / http /

SocialTag