Back to Results
First PageMeta Content
LLVM / Clang / Lua / Iptables / Packet Processing / Toolchain / VHDL / Router / GNU Compiler Collection / Software / Computing / Compilers


The Click2NetFPGA Toolchain Teemu Rinta-aho NomadicLab Ericsson Research Jorvas, Finland
Add to Reading List

Document Date: 2015-05-29 05:10:41


Open Document

File Size: 310,16 KB

Share Result on Facebook

City

Bombay / Click / Mumbai / /

Company

Ericsson / Xilinx / /

Country

India / /

Event

M&A / /

Facility

Click pipeline / NetFPGA port / NetFPGA pipeline / Port Class / Click library / Electrical Engineering Indian Institute of Technology / /

IndustryTerm

software system / hardware router / large enterprise networks / actual networks / packet processing code / packet processing application / software compilation / software router / packet processing model / software offers / click tool / packet processing functionality / line speed packet processing applications / click userlevel tool / source software / software package / software-to-hardware toolchain / prototype software / click2llvm tool / typical software-oriented optimisations / packet processing phase / userlevel click tool / framework supporting hardware / point algorithms / hardware routers / packet processing / actual hardware / software routers / software counterpart / network packet processing application / to simplify writing complex applications / packet processing tasks / actual packet processing step / energy efficiency / time producing hardware / manufacturing / network devices / packet processing applications / target systems / software program / multiprocessor routers / /

OperatingSystem

Unix / Mac OS X / Linux / Ubuntu / CentOS / FreeBSD / Microsoft Windows / /

Organization

UCLA / ASIC / Madhav P. Desai Department / Indian Institute of Technology / Stanford / /

Person

Madhav P. Desai / Mika Karlstedt / Eddie Kohler / Stanford NetFPGA / /

Position

compiler driver / representative / programmer / /

Product

Click Modular Router / /

ProgrammingLanguage

C / ANSI C / Verilog / C++ / /

Technology

FPGA / Click router / developing software routers / promising technology / ANSI C / Unix / Linux / soft MIPS processor / API / hardware router / Click software router / shared memory / multiprocessor routers / writing software routers / VHDL / developing hardware routers / Ethernet / ASIC / software router / Verilog / packet switching / C++ algorithms / MIPS processor / IPv4 / floating point algorithms / virtual circuit / gigabit ethernet / creating a soft MIPS processor / /

SocialTag