Transducer

Results: 629



#Item
121From Monadic Second-Order Definable String Transformations to Transducers Rajeev Alur Antoine Durand-Gasselin

From Monadic Second-Order Definable String Transformations to Transducers Rajeev Alur Antoine Durand-Gasselin

Add to Reading List

Source URL: www.cis.upenn.edu

Language: English - Date: 2013-04-29 12:28:54
122SINEAX I 538 Transducer for AC current With power supply Carrying rail housing P8/35 Application The transformer SINEAX I 538 (Fig. 1) converts a sinusoidal AC

SINEAX I 538 Transducer for AC current With power supply Carrying rail housing P8/35 Application The transformer SINEAX I 538 (Fig. 1) converts a sinusoidal AC

Add to Reading List

Source URL: brj.dk

Language: English - Date: 2013-09-11 09:12:29
123Learning Stochastic Finite Automata for Musical Style Recognition? Colin de la Higuera, Fr´ed´eric Piat and Fr´ed´eric Tantini1 EURISE, Universit´e de Saint-Etienne, 23 rue du Docteur Paul Michelon, 42023 Saint-Etie

Learning Stochastic Finite Automata for Musical Style Recognition? Colin de la Higuera, Fr´ed´eric Piat and Fr´ed´eric Tantini1 EURISE, Universit´e de Saint-Etienne, 23 rue du Docteur Paul Michelon, 42023 Saint-Etie

Add to Reading List

Source URL: pagesperso.lina.univ-nantes.fr

Language: English - Date: 2009-09-07 03:02:20
124INDUSTRIAL SERVICES - NON-DESTRUCTIVE TESTING  Reliable Flaw Detection. Fast Inspection Times. High quality non-destructive testing services tailored for all your needs.

INDUSTRIAL SERVICES - NON-DESTRUCTIVE TESTING Reliable Flaw Detection. Fast Inspection Times. High quality non-destructive testing services tailored for all your needs.

Add to Reading List

Source URL: www.tuv.com

Language: English - Date: 2015-06-16 04:25:29
125Algorithmic Analysis of Array-Accessing Programs ? ˇ Rajeev Alur, Pavol Cern´ y, and Scott Weinstein University of Pennsylvania

Algorithmic Analysis of Array-Accessing Programs ? ˇ Rajeev Alur, Pavol Cern´ y, and Scott Weinstein University of Pennsylvania

Add to Reading List

Source URL: www.cis.upenn.edu

Language: English - Date: 2009-06-22 08:52:31
126JMLR: Workshop and Conference Proceedings 34:109–123, 2014  Proceedings of the 12th ICGI Learning Nondeterministic Mealy Machines Ali Khalili

JMLR: Workshop and Conference Proceedings 34:109–123, 2014 Proceedings of the 12th ICGI Learning Nondeterministic Mealy Machines Ali Khalili

Add to Reading List

Source URL: jmlr.org

Language: English - Date: 2014-08-26 06:56:50
127Synthesis for Unbounded Bit-vector Arithmetic Andrej Spielmann and Viktor Kuncak School of Computer and Communication Sciences (I&C) ´ Ecole Polytechnique F´ed´erale de Lausanne (EPFL), Switzerland

Synthesis for Unbounded Bit-vector Arithmetic Andrej Spielmann and Viktor Kuncak School of Computer and Communication Sciences (I&C) ´ Ecole Polytechnique F´ed´erale de Lausanne (EPFL), Switzerland

Add to Reading List

Source URL: lara.epfl.ch

Language: English - Date: 2012-04-24 05:03:43
128JMLR: Workshop and Conference Proceedings 34:33–48, 2014  Proceedings of the 12th ICGI A Canonical Semi-Deterministic Transducer Achilles Beros

JMLR: Workshop and Conference Proceedings 34:33–48, 2014 Proceedings of the 12th ICGI A Canonical Semi-Deterministic Transducer Achilles Beros

Add to Reading List

Source URL: jmlr.org

Language: English - Date: 2014-08-26 06:56:50
129Wave Propagation in Biosensors  Nanotechnology/Materials Science 2_1_3 Multifunctional Films for Sensors and Actuators Modeling and Simulation

Wave Propagation in Biosensors Nanotechnology/Materials Science 2_1_3 Multifunctional Films for Sensors and Actuators Modeling and Simulation

Add to Reading List

Source URL: www-m6.ma.tum.de

Language: English - Date: 2007-10-04 11:03:14
130JMLR: Workshop and Conference Proceedings 34:94–108, 2014  Proceedings of the 12th ICGI Very efficient learning of structured classes of subsequential functions from positive data

JMLR: Workshop and Conference Proceedings 34:94–108, 2014 Proceedings of the 12th ICGI Very efficient learning of structured classes of subsequential functions from positive data

Add to Reading List

Source URL: jmlr.org

Language: English - Date: 2014-08-26 06:56:50