Mishchenko

Results: 82



#Item
71Electrical engineering / Electronics / And-inverter graph / Boolean network / Mathematical optimization / Logic synthesis / Lookup table / Electronic engineering / Electronic design automation / Diagrams

SAT-Based Logic Optimization and Resynthesis Alan Mishchenko Robert Brayton Jie-Hong Roland Jiang

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2007-09-24 19:38:05
72Digital electronics / Integrated circuits / Standard cell / Field-programmable gate array / Logic optimization / Retiming / Physical design / Logic synthesis / Placement / Electronic engineering / Electronic design automation / Electronic design

Magic: An Industrial-Strength Logic Optimization, Technology Mapping, and Formal Verification System Alan Mishchenko Niklas Een Robert Brayton Stephen Jang Maciej Ciesielski

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2010-03-19 22:25:46
73Graph connectivity / Field-programmable gate array / Connectivity / Directed acyclic graph / And-inverter graph / Cut / Graph theory / Electronic engineering / Diagrams

WireMap: FPGA Technology Mapping for Improved Routability Stephen Jang, Billy Chan, Kevin Chung Alan Mishchenko

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2008-02-25 08:02:45
74Heuristic function / Heuristics / Graph factorization

Factor Cuts Satrajit Chatterjee Alan Mishchenko Robert Brayton Department of EECS U. C. Berkeley {satrajit, alanmi, brayton}@eecs.berkeley.edu

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2006-08-09 21:17:41
75Mathematical analysis / Boolean function / Boolean network / Lookup table / Truth table / Circuit / Monotonic function / Function / Boolean satisfiability problem / Mathematics / Boolean algebra / Theoretical computer science

Fast Boolean Matching for LUT Structures Alan Mishchenko Satrajit Chatterjee Robert Brayton

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2007-09-26 01:21:56
76Digital signal processing / Electromagnetism / Analog-to-digital converter / Comparator / Successive approximation ADC / Finite-state machine / Extended finite-state machine / Boolean circuit / Binary decision diagram / Electronic engineering / Electronics / Electronic circuits

ABCD-NL: Approximating Continuous Non-Linear Dynamical Systems using Purely Boolean Models for Analog/Mixed-Signal Verification Aadithya V. Karthik‡ , Sayak Ray, Pierluigi Nuzzo, Alan Mishchenko, Robert Brayton, and Ja

Add to Reading List

Source URL: aadithyakv.com

Language: English - Date: 2014-08-30 07:34:40
77Electronics / Electromagnetism / Analog-to-digital converter / Finite-state machine / Successive approximation ADC / Comparator / Extended finite-state machine / SPICE / Boolean circuit / Electronic engineering / Electronic circuits / Digital signal processing

3C-1 ABCD-NL: Approximating Continuous Non-Linear Dynamical Systems using Purely Boolean Models for Analog/Mixed-Signal Verification Aadithya V. Karthik‡ , Sayak Ray, Pierluigi Nuzzo, Alan Mishchenko, Robert Brayton,

Add to Reading List

Source URL: potol.eecs.berkeley.edu

Language: English - Date: 2014-09-09 02:02:36
78Digital signal / Digital electronics / Linear / Physics / Digital signal processing / Electronic design automation / Electronic design

ABCD: Booleanizing Continuous Systems for Analog/Mixed-Signal Design, Simulation, and Verification Aadithya V. Karthik, Sayak Ray, Pierluigi Nuzzo, Alan Mishchenko, Robert Brayton, and Jaijeet Roychowdhury

Add to Reading List

Source URL: tauworkshop.com

Language: English - Date: 2014-04-28 21:48:08
79Materials science / Graphene / Nanomaterials / Carbon nanotube / Andre Geim / Transistor / Physics / Emerging technologies / Chemistry

REPORTS Strong Light-Matter Interactions in Heterostructures of Atomically Thin Films L. Britnell,1 R. M. Ribeiro,2,3 A. Eckmann,4 R. Jalil,5 B. D. Belle,5 A. Mishchenko,1 Y.-J. Kim,1,6

Add to Reading List

Source URL: www.condmat.physics.manchester.ac.uk

Language: English - Date: 2013-06-16 09:36:34
80Answers in Genesis / Combinational logic / Economy of New York City / Mathematics / American International Group / Theoretical computer science / And-inverter graph

Applying Logic Synthesis for Speeding Up SAT Niklas Een Alan Mishchenko Niklas Sörensson

Add to Reading List

Source URL: minisat.se

Language: English - Date: 2007-06-05 16:32:06
UPDATE