Signoff

Results: 142



#Item
51Bobbin lace / Needle lace / Crochet / Punto in Aria / Bobbin / Freehand lace / Textile arts / Lace / Clothing

PH-Lovelace Logo-photo-A-signoff & data

Add to Reading List

Source URL: www.powerhousemuseum.com

Language: English - Date: 2013-04-07 20:15:18
52Integrated circuits / Electronic design / Signoff / Timing closure / Interface Logic Model / Physical design / Application-specific integrated circuit / Static timing analysis / Integrated circuit design / Electronic engineering / Electronics / Electronic design automation

Hierarchical Timing Analysis: Pros, Cons, and a New Approach By Pawan Gandhi, Naresh Kumar, Oleg Levitsky, Sharad Mehrotra, Ed Martinage, Brandon Bautz, Venkat Thanvantri, Prashant Sethia, and Ruben Molina, Cadence Desig

Add to Reading List

Source URL: www.cadence.com

Language: English - Date: 2014-04-14 13:21:14
53Integrated circuits / Electronic design / Parasitic extraction / Signoff / Application-specific integrated circuit / Standard cell / Process corners / Engineering Change Order / Cadence Design Systems / Electronic engineering / Electronic design automation / Electronics

How to Speed Signoff Extraction by 5X with Next-Generation Extraction Tool Tool Contributes to Faster Overall Design Closure By Hitendra Divecha, Cadence Design Systems Parasitic extraction, particularly in the digital

Add to Reading List

Source URL: www.cadence.com

Language: English - Date: 2014-07-14 20:06:15
54Integrated circuits / Digital electronics / Signoff / Design closure / Timing closure / Power network design / Integrated circuit design / Application-specific integrated circuit / Design rule checking / Electronic engineering / Electronic design automation / Electronic design

How to Achieve 10X Faster Power Integrity Analysis and Signoff By Jerry Zhao, Product Director, Cadence In our mobile computing era, system-on-chip (SoC) design has become much more complex, with challenges from complex

Add to Reading List

Source URL: www.cadence.com

Language: English - Date: 2013-11-12 08:14:34
55Integrated circuits / Electronic design / Parasitic extraction / Signoff / Standard cell / Application-specific integrated circuit / Electromagnetic field solver / Cadence Design Systems / Signal integrity / Electronic engineering / Electronics / Electronic design automation

Quantus QRC Extraction Solution Next-generation tool with 5X better performance and scalability, best-in-class accuracy, and in-design and signoff parasitic extraction Cadence® Quantus™ QRC Extraction Solution is a

Add to Reading List

Source URL: www.cadence.com

Language: English - Date: 2014-07-28 13:15:35
56Electronics / Signal integrity / Logic simulation / SPICE / Electronic circuit simulation / Crosstalk / Input/output Buffer Information Specification / Signoff / Static timing analysis / Electronic engineering / Electronic design automation / Digital electronics

designfeature By Robert J Haller, Compaq Computer Corp ANALYZING SIGNAL INTEGRITY IS NOT LIKE GAZING INTO A CRYSTAL BALL OR SHAKING BONES OVER A DESIGN TO DETERMINE ITS VIABILITY. YOU MUST IMPLEMENT A SET OF TOOLS, SOFTW

Add to Reading List

Source URL: ece.wpi.edu

Language: English - Date: 2000-03-24 15:32:00
57Electronic design automation / Integrated circuits / Electronic design / Digital electronics / Signoff / Transistor model / Field-programmable gate array / Integrated circuit design / SPICE / Electronic engineering / Electronics / Electromagnetism

DesignCon[removed]Comprehensive Full-Chip Methodology to Verify EM and Dynamic Voltage Drop on High Performance FPGA Designs in

Add to Reading List

Source URL: www.xilinx.com

Language: English - Date: 2014-02-07 14:28:45
58Integrated circuits / Hardware verification languages / Synopsys / Hardware description language / Electronic system-level design and verification / Signoff / Logic synthesis / Integrated circuit design / SystemVerilog / Electronic engineering / Electronic design automation / Electronic design

SNPS[removed]10-K

Add to Reading List

Source URL: synopsys.com

Language: English - Date: 2014-12-15 13:24:22
59Physical design / Multiple patterning / Design closure / Cadence Design Systems / Design flow / Placement / Standard cell / Routing / Nanoroute / Electronic engineering / Electronic design automation / Signoff

Increased demand for faster, smaller, low-power chips continues to drive the geometry shrink as one of the ways to manage the low-power, higher performance goals in smaller form factor. 40nm chips are state-of-the-art, 3

Add to Reading List

Source URL: www.cadence.com

Language: English - Date: 2012-07-23 18:26:39
60Integrated circuits / Electronic design / Signoff / Standard cell / Integrated circuit design / Application-specific integrated circuit / Cadence Design Systems / Multiple patterning / Design rule checking / Electronic engineering / Electronics / Electronic design automation

Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The solution lies no

Add to Reading List

Source URL: www.cadence.com

Language: English - Date: 2012-11-09 18:02:00
UPDATE