Brayton

Results: 169



#Item
41SAT-Based Logic Optimization and Resynthesis Alan Mishchenko Robert Brayton  Jie-Hong Roland Jiang

SAT-Based Logic Optimization and Resynthesis Alan Mishchenko Robert Brayton Jie-Hong Roland Jiang

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2007-04-23 22:32:02
42On Breakable Cyclic Definitions Jie-Hong R. Jiang, Alan Mishchenko, and Robert K. Brayton Department of Electrical Engineering and Computer Sciences University of California, Berkeley  ABSTRACT

On Breakable Cyclic Definitions Jie-Hong R. Jiang, Alan Mishchenko, and Robert K. Brayton Department of Electrical Engineering and Computer Sciences University of California, Berkeley ABSTRACT

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2005-05-01 20:25:39
43Incremental Sequential Equivalence Checking and Subgraph Isomorphism Sayak Ray Alan Mishchenko  Robert Brayton

Incremental Sequential Equivalence Checking and Subgraph Isomorphism Sayak Ray Alan Mishchenko Robert Brayton

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2009-07-12 14:37:50
44Technology Mapping with Boolean Matching, Supergates and Choices Alan Mishchenko Satrajit Chatterjee  Robert Brayton

Technology Mapping with Boolean Matching, Supergates and Choices Alan Mishchenko Satrajit Chatterjee Robert Brayton

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2006-04-19 22:57:46
45Scalably-Verifiable Sequential Synthesis Robert Brayton Alan Mishchenko  Department of EECS, University of California, Berkeley

Scalably-Verifiable Sequential Synthesis Robert Brayton Alan Mishchenko Department of EECS, University of California, Berkeley

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2007-10-02 14:31:33
46Reducing Structural Bias in Technology Mapping S. Chatterjee A. Mishchenko R. Brayton X. Wang T. Kam  Department of EECS

Reducing Structural Bias in Technology Mapping S. Chatterjee A. Mishchenko R. Brayton X. Wang T. Kam Department of EECS

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2005-07-16 02:15:25
47Efficient Implementation of Property Directed Reachability∗ Niklas Een, Alan Mishchenko, Robert Brayton {een,alanmi,brayton}@eecs.berkeley.edu Berkeley Verification and Synthesis Research Center EECS Department Univers

Efficient Implementation of Property Directed Reachability∗ Niklas Een, Alan Mishchenko, Robert Brayton {een,alanmi,brayton}@eecs.berkeley.edu Berkeley Verification and Synthesis Research Center EECS Department Univers

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2012-06-06 17:57:57
48FRAIGs: A Unifying Representation for Logic Synthesis and Verification Alan Mishchenko, Satrajit Chatterjee, Roland Jiang, Robert Brayton Department of EECS, University of California, Berkeley {alanmi, satrajit, jiejiang

FRAIGs: A Unifying Representation for Logic Synthesis and Verification Alan Mishchenko, Satrajit Chatterjee, Roland Jiang, Robert Brayton Department of EECS, University of California, Berkeley {alanmi, satrajit, jiejiang

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2005-04-01 15:19:32
49An Integrated Technology Mapping Environment Alan Mishchenko Satrajit Chatterjee Robert Brayton Department of EECS University of California, Berkeley {alanmi, satrajit, brayton}@eecs.berkeley.edu

An Integrated Technology Mapping Environment Alan Mishchenko Satrajit Chatterjee Robert Brayton Department of EECS University of California, Berkeley {alanmi, satrajit, brayton}@eecs.berkeley.edu

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2005-07-16 00:13:15
50Scalable and Scalably-Verifiable Sequential Synthesis Alan Mishchenko Michael Case  Robert Brayton

Scalable and Scalably-Verifiable Sequential Synthesis Alan Mishchenko Michael Case Robert Brayton

Add to Reading List

Source URL: www.bvsrc.org

Language: English - Date: 2008-07-28 20:26:28